vim align columns using Align or Tabularize (Verilog)










-1















I am trying to use either Align or Tabularize to take the following code:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


To be aligned to this:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


As you can see, sometimes there will be square brackets before the variable name, some time won't. Comments could be in the middle, I would like them to be ignored. I want to keep the space before the input/output.
I tried some regex, but couldn't manage to do it.










share|improve this question

















  • 2





    if a other plugin is an option for you, then there is always easy-align

    – Doktor OSwaldo
    Nov 13 '18 at 13:13












  • You could just use visual block to move the text.

    – GiftZwergrapper
    Nov 14 '18 at 7:17











  • I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

    – Sam Rosenfeld
    Nov 14 '18 at 14:07
















-1















I am trying to use either Align or Tabularize to take the following code:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


To be aligned to this:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


As you can see, sometimes there will be square brackets before the variable name, some time won't. Comments could be in the middle, I would like them to be ignored. I want to keep the space before the input/output.
I tried some regex, but couldn't manage to do it.










share|improve this question

















  • 2





    if a other plugin is an option for you, then there is always easy-align

    – Doktor OSwaldo
    Nov 13 '18 at 13:13












  • You could just use visual block to move the text.

    – GiftZwergrapper
    Nov 14 '18 at 7:17











  • I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

    – Sam Rosenfeld
    Nov 14 '18 at 14:07














-1












-1








-1








I am trying to use either Align or Tabularize to take the following code:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


To be aligned to this:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


As you can see, sometimes there will be square brackets before the variable name, some time won't. Comments could be in the middle, I would like them to be ignored. I want to keep the space before the input/output.
I tried some regex, but couldn't manage to do it.










share|improve this question














I am trying to use either Align or Tabularize to take the following code:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


To be aligned to this:



 // UDW
input [UDW_WIDTH-1:0] udw_data;
input [TYPE_WIDTH-1:0] udw_type;
input udw_valid;
output udw_ready;
// UniClkPktGen
input [UTW_DATA_W-1:0] utw_data_in;
input utw_valid_in;
input [FREQOFFSET_W-1:0] freqOffset;
input [ACCDELAY_W-1:0] accDelay;
// RIF
input [31:0] rif_add_bus;
input [31:0] rif_data_wr;
input [3:0] rif_byte_en;
input rif_wr;
input rif_rd;
output [31:0] rif_data_rd;
output rif_ack;


As you can see, sometimes there will be square brackets before the variable name, some time won't. Comments could be in the middle, I would like them to be ignored. I want to keep the space before the input/output.
I tried some regex, but couldn't manage to do it.







vim alignment verilog






share|improve this question













share|improve this question











share|improve this question




share|improve this question










asked Nov 13 '18 at 10:06









Sam RosenfeldSam Rosenfeld

113




113







  • 2





    if a other plugin is an option for you, then there is always easy-align

    – Doktor OSwaldo
    Nov 13 '18 at 13:13












  • You could just use visual block to move the text.

    – GiftZwergrapper
    Nov 14 '18 at 7:17











  • I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

    – Sam Rosenfeld
    Nov 14 '18 at 14:07













  • 2





    if a other plugin is an option for you, then there is always easy-align

    – Doktor OSwaldo
    Nov 13 '18 at 13:13












  • You could just use visual block to move the text.

    – GiftZwergrapper
    Nov 14 '18 at 7:17











  • I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

    – Sam Rosenfeld
    Nov 14 '18 at 14:07








2




2





if a other plugin is an option for you, then there is always easy-align

– Doktor OSwaldo
Nov 13 '18 at 13:13






if a other plugin is an option for you, then there is always easy-align

– Doktor OSwaldo
Nov 13 '18 at 13:13














You could just use visual block to move the text.

– GiftZwergrapper
Nov 14 '18 at 7:17





You could just use visual block to move the text.

– GiftZwergrapper
Nov 14 '18 at 7:17













I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

– Sam Rosenfeld
Nov 14 '18 at 14:07






I will try to use the easy align. Using visual block is not automatic (and that's what I am currently doing), I am trying to find a fast way to do it. There are a lot of lines like that in all the files that I am working. Completely mundane.

– Sam Rosenfeld
Nov 14 '18 at 14:07













1 Answer
1






active

oldest

votes


















1














Ok I have managed to do it with easy-align (thanks Doktor OSwaldo).
I used the advance examples :
https://github.com/junegunn/vim-easy-align/blob/master/EXAMPLES.md



I took this code and added it to ~/.vim/autoload/easy_align.vim (taken from EXAMPLES.md above).



let g:easy_align_delimiters['d'] = 
'pattern': ' zeS+s*[;=]',
'left_margin': 0, 'right_margin': 0



I don't fully understand the pattern so I will not try to explain it.
But it works :)






share|improve this answer


















  • 1





    The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

    – Peter Rincker
    Nov 14 '18 at 16:14










Your Answer






StackExchange.ifUsing("editor", function ()
StackExchange.using("externalEditor", function ()
StackExchange.using("snippets", function ()
StackExchange.snippets.init();
);
);
, "code-snippets");

StackExchange.ready(function()
var channelOptions =
tags: "".split(" "),
id: "1"
;
initTagRenderer("".split(" "), "".split(" "), channelOptions);

StackExchange.using("externalEditor", function()
// Have to fire editor after snippets, if snippets enabled
if (StackExchange.settings.snippets.snippetsEnabled)
StackExchange.using("snippets", function()
createEditor();
);

else
createEditor();

);

function createEditor()
StackExchange.prepareEditor(
heartbeatType: 'answer',
autoActivateHeartbeat: false,
convertImagesToLinks: true,
noModals: true,
showLowRepImageUploadWarning: true,
reputationToPostImages: 10,
bindNavPrevention: true,
postfix: "",
imageUploader:
brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
allowUrls: true
,
onDemand: true,
discardSelector: ".discard-answer"
,immediatelyShowMarkdownHelp:true
);



);













draft saved

draft discarded


















StackExchange.ready(
function ()
StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53278507%2fvim-align-columns-using-align-or-tabularize-verilog%23new-answer', 'question_page');

);

Post as a guest















Required, but never shown

























1 Answer
1






active

oldest

votes








1 Answer
1






active

oldest

votes









active

oldest

votes






active

oldest

votes









1














Ok I have managed to do it with easy-align (thanks Doktor OSwaldo).
I used the advance examples :
https://github.com/junegunn/vim-easy-align/blob/master/EXAMPLES.md



I took this code and added it to ~/.vim/autoload/easy_align.vim (taken from EXAMPLES.md above).



let g:easy_align_delimiters['d'] = 
'pattern': ' zeS+s*[;=]',
'left_margin': 0, 'right_margin': 0



I don't fully understand the pattern so I will not try to explain it.
But it works :)






share|improve this answer


















  • 1





    The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

    – Peter Rincker
    Nov 14 '18 at 16:14















1














Ok I have managed to do it with easy-align (thanks Doktor OSwaldo).
I used the advance examples :
https://github.com/junegunn/vim-easy-align/blob/master/EXAMPLES.md



I took this code and added it to ~/.vim/autoload/easy_align.vim (taken from EXAMPLES.md above).



let g:easy_align_delimiters['d'] = 
'pattern': ' zeS+s*[;=]',
'left_margin': 0, 'right_margin': 0



I don't fully understand the pattern so I will not try to explain it.
But it works :)






share|improve this answer


















  • 1





    The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

    – Peter Rincker
    Nov 14 '18 at 16:14













1












1








1







Ok I have managed to do it with easy-align (thanks Doktor OSwaldo).
I used the advance examples :
https://github.com/junegunn/vim-easy-align/blob/master/EXAMPLES.md



I took this code and added it to ~/.vim/autoload/easy_align.vim (taken from EXAMPLES.md above).



let g:easy_align_delimiters['d'] = 
'pattern': ' zeS+s*[;=]',
'left_margin': 0, 'right_margin': 0



I don't fully understand the pattern so I will not try to explain it.
But it works :)






share|improve this answer













Ok I have managed to do it with easy-align (thanks Doktor OSwaldo).
I used the advance examples :
https://github.com/junegunn/vim-easy-align/blob/master/EXAMPLES.md



I took this code and added it to ~/.vim/autoload/easy_align.vim (taken from EXAMPLES.md above).



let g:easy_align_delimiters['d'] = 
'pattern': ' zeS+s*[;=]',
'left_margin': 0, 'right_margin': 0



I don't fully understand the pattern so I will not try to explain it.
But it works :)







share|improve this answer












share|improve this answer



share|improve this answer










answered Nov 14 '18 at 15:37









Sam RosenfeldSam Rosenfeld

113




113







  • 1





    The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

    – Peter Rincker
    Nov 14 '18 at 16:14












  • 1





    The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

    – Peter Rincker
    Nov 14 '18 at 16:14







1




1





The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

– Peter Rincker
Nov 14 '18 at 16:14





The pattern matches the last space before (ze) the pattern S+s*[;=]'. S+s*[;=]' matches at least one non-whitespace character sequence (S+) followed by optional whitespace (s*) then followed by either ; or = ([;=]). For more help see :h /ze, :h /+, :h /S, /s, and :h /collection.

– Peter Rincker
Nov 14 '18 at 16:14



















draft saved

draft discarded
















































Thanks for contributing an answer to Stack Overflow!


  • Please be sure to answer the question. Provide details and share your research!

But avoid


  • Asking for help, clarification, or responding to other answers.

  • Making statements based on opinion; back them up with references or personal experience.

To learn more, see our tips on writing great answers.




draft saved


draft discarded














StackExchange.ready(
function ()
StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53278507%2fvim-align-columns-using-align-or-tabularize-verilog%23new-answer', 'question_page');

);

Post as a guest















Required, but never shown





















































Required, but never shown














Required, but never shown












Required, but never shown







Required, but never shown

































Required, but never shown














Required, but never shown












Required, but never shown







Required, but never shown







Popular posts from this blog

𛂒𛀶,𛀽𛀑𛂀𛃧𛂓𛀙𛃆𛃑𛃷𛂟𛁡𛀢𛀟𛁤𛂽𛁕𛁪𛂟𛂯,𛁞𛂧𛀴𛁄𛁠𛁼𛂿𛀤 𛂘,𛁺𛂾𛃭𛃭𛃵𛀺,𛂣𛃍𛂖𛃶 𛀸𛃀𛂖𛁶𛁏𛁚 𛂢𛂞 𛁰𛂆𛀔,𛁸𛀽𛁓𛃋𛂇𛃧𛀧𛃣𛂐𛃇,𛂂𛃻𛃲𛁬𛃞𛀧𛃃𛀅 𛂭𛁠𛁡𛃇𛀷𛃓𛁥,𛁙𛁘𛁞𛃸𛁸𛃣𛁜,𛂛,𛃿,𛁯𛂘𛂌𛃛𛁱𛃌𛂈𛂇 𛁊𛃲,𛀕𛃴𛀜 𛀶𛂆𛀶𛃟𛂉𛀣,𛂐𛁞𛁾 𛁷𛂑𛁳𛂯𛀬𛃅,𛃶𛁼

Edmonton

Crossroads (UK TV series)